siemens x
物聯(lián)網(wǎng)

新思科技聯(lián)合臺(tái)積公司加快N3制程創(chuàng)新,實(shí)現(xiàn)新一代芯片設(shè)計(jì)

2025China.cn   2020年10月10日

  重點(diǎn):

  ● 半導(dǎo)體市場日益增長的需求推動(dòng)最先進(jìn)芯片制程的發(fā)展

  ● 新思科技與臺(tái)積公司開展廣泛合作,利用新思科技全流程數(shù)字和定制設(shè)計(jì)平臺(tái),有效發(fā)揮臺(tái)積公司 3奈米制程技術(shù)(N3)的PPA(功耗、性能和面積)優(yōu)勢,同時(shí)加快產(chǎn)品上市時(shí)間

  ● 新思科技進(jìn)一步強(qiáng)化關(guān)鍵產(chǎn)品,以支持臺(tái)積公司 N3制程的進(jìn)階要求

  新思科技(Synopsys, Inc.,納斯達(dá)克股票代碼:SNPS)近日宣布,其數(shù)字和定制設(shè)計(jì)平臺(tái)已獲得臺(tái)積公司3奈米制程技術(shù)驗(yàn)證。此次驗(yàn)證基于臺(tái)積公司的最新設(shè)計(jì)參考手冊(DRM)和工藝設(shè)計(jì)工具包(PDK),是經(jīng)過廣泛合作與嚴(yán)格驗(yàn)證的結(jié)果。該驗(yàn)證旨在提供設(shè)計(jì)解決方案,在獲得優(yōu)化PPA性能的同時(shí)加快新一代設(shè)計(jì)的進(jìn)程。

  臺(tái)積公司設(shè)計(jì)及基礎(chǔ)設(shè)施管理部資深部長Suk Lee表示:“我們與新思科技多年的合作成果顯著,新思科技基于臺(tái)積公司先進(jìn)制程的平臺(tái)解決方案協(xié)助我們的客戶實(shí)現(xiàn)芯片創(chuàng)新,利用臺(tái)積公司N3制程技術(shù)顯著降低芯片功耗、提升芯片性能,并加速新產(chǎn)品上市的時(shí)間。對新思科技設(shè)計(jì)解決方案進(jìn)行驗(yàn)證令我們的共同客戶能夠基于臺(tái)積公司 N3制程完成芯片設(shè)計(jì),實(shí)現(xiàn)PPA優(yōu)化。”

  通過與臺(tái)積公司密切合作,新思科技開發(fā)了一系列關(guān)鍵的功能和新技術(shù),從而確保從綜合、布局布線到時(shí)序和物理簽核在臺(tái)積公司 N3制程實(shí)現(xiàn)全流程一致性。新思科技的Fusion Compiler? RTL-to-GDSII解決方案和IC Compiler? II布局布線解決方案全面支持臺(tái)積公司 N3制程。新思科技的Design Compiler? NXT綜合解決方案得到增強(qiáng),讓開發(fā)者能夠充分利用臺(tái)積公司 N3技術(shù)優(yōu)勢,獲得高質(zhì)量的設(shè)計(jì)結(jié)果(QoR),并利用高精度的全新電阻和電容估計(jì)方法實(shí)現(xiàn)與IC Compiler? II布局布線解決方案關(guān)聯(lián)的一致性。PrimeTime? 簽核解決方案支持Advanced Mulit-input Switching(MIS),以實(shí)現(xiàn)準(zhǔn)確的時(shí)序分析和簽核收斂。此外,Design Compiler NXT支持臺(tái)積公司 N3制程多種工藝,以實(shí)現(xiàn)高性能計(jì)算和移動(dòng)芯片設(shè)計(jì)。

  根據(jù)臺(tái)積公司 N3制程技術(shù)特點(diǎn),新思科技進(jìn)一步增強(qiáng)了支持引腳密度感知布局和全局布線建模的數(shù)字設(shè)計(jì)平臺(tái),以實(shí)現(xiàn)更好的標(biāo)準(zhǔn)單元引腳布線收斂;協(xié)同單元放置檢查和優(yōu)化(CLO),以實(shí)現(xiàn)更快的時(shí)序收斂;通過新的單元映射(單元密度)基礎(chǔ)架構(gòu),最大化利用空余空間來改善PPA;并通過自動(dòng)生成過孔支柱(via pillar)和部分平行布線實(shí)現(xiàn)互連優(yōu)化,以實(shí)現(xiàn)高性能設(shè)計(jì);優(yōu)化功耗感知混合驅(qū)動(dòng)強(qiáng)度多位觸發(fā)器(MBFF),以實(shí)現(xiàn)低功耗設(shè)計(jì)。

  在新思科技定制的設(shè)計(jì)平臺(tái)中增強(qiáng)了Custom Compiler的功能,以加快實(shí)現(xiàn)N3模擬芯片設(shè)計(jì)。這些功能增強(qiáng)是與N3早期用戶(包括DesignWare? IP團(tuán)隊(duì))共同開發(fā)并驗(yàn)證的,可減少新設(shè)計(jì)規(guī)則和其他N3技術(shù)要求所需的工作量。新思科技HSPICE?、FineSim?和CustomSim?仿真解決方案有助于縮短基于臺(tái)積公司 N3制程技術(shù)芯片設(shè)計(jì)的時(shí)間,并為臺(tái)積公司 N3電路仿真和可靠性要求提供簽核覆蓋。

  新思科技設(shè)計(jì)事業(yè)部系統(tǒng)解決方案及生態(tài)系統(tǒng)支持高級(jí)副總裁Charles Matar表示:“通過與臺(tái)積公司合作,為其先進(jìn)的N3制程技術(shù)提供高度差異化的解決方案,使客戶更有信心開始設(shè)計(jì)日益復(fù)雜的芯片,并使開發(fā)者能夠充分利用先進(jìn)EUV制程顯著改進(jìn)PPA,加快其差異化芯片的創(chuàng)新。”

  新思科技的N3技術(shù)制程文件可從臺(tái)積公司獲取。新思科技設(shè)計(jì)平臺(tái)的關(guān)鍵產(chǎn)品獲得了以下認(rèn)證:

  數(shù)字設(shè)計(jì)解決方案

  ● Fusion Compiler和IC Compiler II布局布線解決方案

  簽核平臺(tái)

  ● PrimeTime時(shí)序簽核

  ● PrimePower功耗簽核

  ● StarRC?提取簽核

  ● IC Validator物理簽核

  ● NanoTime定制時(shí)序簽核

  ● ESP-CV定制功能驗(yàn)證

  ● QuickCap? NX寄生參數(shù)場解算器

  SPICE仿真和定制設(shè)計(jì)

  ● HSPICE,、CustomSim和FineSim仿真解決方案

  ● CustomSim可靠性分析

  ● Custom Compiler?定制設(shè)計(jì)

(轉(zhuǎn)載)

標(biāo)簽:新思科技 我要反饋 
2024世界人工智能大會(huì)專題
即刻點(diǎn)擊并下載ABB資料,好禮贏不停~
優(yōu)傲機(jī)器人下載中心
西克
2024全景工博會(huì)
專題報(bào)道
2024 工博會(huì) | 直播探館 · 全景解讀
2024 工博會(huì) | 直播探館 · 全景解讀

第二十四屆中國工博會(huì)于9月24日至28日在國家會(huì)展中心(上海)舉行,展會(huì)以“工業(yè)聚能 新質(zhì)領(lǐng)航”為全新主題。 [更多]

2024世界人工智能大會(huì)
2024世界人工智能大會(huì)

WAIC 2024將于7月在上海舉行,論壇時(shí)間7月4日-6日,展覽時(shí)間7月4日-7日。WAIC 2024將圍繞“以共商促... [更多]

2024漢諾威工業(yè)博覽會(huì)專題
2024漢諾威工業(yè)博覽會(huì)專題

2024 漢諾威工業(yè)博覽會(huì)將于4月22 - 26日在德國漢諾威展覽中心舉行。作為全球首屈一指的工業(yè)貿(mào)易展覽會(huì),本屆展覽會(huì)... [更多]