siemens x
技術(shù)

NiosII處理器軟件代碼優(yōu)化方法

2025China.cn   2009年02月02日

引言

 

Altera公司的NiosII軟核處理器具有完全可定制特性、高性能、較低的產(chǎn)品和實(shí)施成本、易用性、適應(yīng)性以及不會(huì)過(guò)時(shí)等優(yōu)勢(shì)。使用NiosII處理器,將不會(huì)局限于預(yù)先制造的處理器技術(shù),而是根據(jù)用戶的標(biāo)準(zhǔn)定制處理器,按照需要選擇合適的外設(shè)、存儲(chǔ)器和接口。此外,還可以輕松集成用戶專有的功能,使設(shè)計(jì)具有獨(dú)特的競(jìng)爭(zhēng)優(yōu)勢(shì)。

NiosII處理器的軟件開發(fā)是建立在ANSI C基礎(chǔ)上的。NiosII IDENiosII系列嵌入式處理器的主要軟件開發(fā)工具。用戶可以在NiosII IDE中完成所有的軟件開發(fā)任務(wù),如編輯、編譯、下載、調(diào)試和閃存編程。NiosII嵌入式系統(tǒng)的一個(gè)重要問(wèn)題就是軟件代碼量的大小,這關(guān)系到存放代碼的存儲(chǔ)器件容量大小,因此控制和減小程序代碼量是降低系統(tǒng)成本的重要方法,必須首先從處理器的啟動(dòng)順序開始研究。

 

1 NiosII處理器啟動(dòng)順序和程序入口地址

 

NiosII處理器的啟動(dòng)可采用兩種方式: 自動(dòng)初始化和用戶自定義初始化。ANSI C標(biāo)準(zhǔn)定義應(yīng)用程序可以通過(guò)調(diào)用main()來(lái)開始執(zhí)行。在調(diào)用main()之前,應(yīng)用程序假定運(yùn)行環(huán)境和所有的服務(wù)系統(tǒng)都被初始化并準(zhǔn)備運(yùn)行。初始化可以被硬件抽象層(HAL)系統(tǒng)庫(kù)自動(dòng)執(zhí)行。程序員不需要考慮系統(tǒng)的輸出設(shè)備以及如何初始化每一個(gè)外設(shè),HAL會(huì)自動(dòng)初始化整個(gè)系統(tǒng)。

 

另外,ANSI C標(biāo)準(zhǔn)也提供了一個(gè)可變的入口點(diǎn)程序,以避免自動(dòng)初始化。ANSI C標(biāo)準(zhǔn)還定義程序員能手動(dòng)初始化任何所用的硬件。alt_main()函數(shù)提供了一個(gè)獨(dú)立式的編程環(huán)境,能夠完全控制系統(tǒng)的初始化。獨(dú)立式編程環(huán)境可以使程序員手動(dòng)編寫初始化系統(tǒng)的代碼。

HAL提供的系統(tǒng)初始化代碼按以下啟動(dòng)順序運(yùn)行:

 

啟動(dòng)指令和數(shù)據(jù)高速緩沖存儲(chǔ)器;

配置堆棧;

配置全局指針;

通過(guò)鏈接器提供的_bss_start_bss_end來(lái)零初始化BSS層,_bss_start_bss_end 是開始和結(jié)束BSS的命令;

如果當(dāng)前系統(tǒng)沒有啟動(dòng)下載器,就復(fù)制.rwdata、.rodata,或者剩下的部分到RAM

調(diào)用alt_main()。

 

如果不調(diào)用alt_main()函數(shù),則系統(tǒng)默認(rèn)運(yùn)行步驟如下:

 

調(diào)用ALT_OS_INIT()來(lái)執(zhí)行任何操作系統(tǒng)所特有的初始化。如果HAL是在操作系統(tǒng)里運(yùn)行的,那么初始化alt_fd_list_lock命令。它可以控制訪問(wèn)HAL文件系統(tǒng),初始化中斷控制器并執(zhí)行中斷。

調(diào)用alt_sys_init()函數(shù),以初始化系統(tǒng)里所有的驅(qū)動(dòng)裝置和軟件組成部分。

重新設(shè)置C標(biāo)準(zhǔn)I/O通道(stdin,stdout,stderr,以使用合適的器件。

調(diào)用main()。

調(diào)用exit()main()的返回代碼作為exit()的輸入。

 

NiosII IDE工程中,只需簡(jiǎn)單定義alt_main()就可以實(shí)現(xiàn)用戶的啟動(dòng)順序,而且能夠選擇HAL的服務(wù)程序。如果應(yīng)用程序需要一個(gè)alt_main()入口點(diǎn)程序,可以復(fù)制默認(rèn)的執(zhí)行作為開始點(diǎn),根據(jù)要求來(lái)定制它。

 

alt_main()這個(gè)函數(shù)是不能返回的,其原型是: void alt_main()。

 

使用獨(dú)立式編程環(huán)境會(huì)增加NiosII程序編寫的復(fù)雜性。獨(dú)立式編程環(huán)境的主要作用在于減小代碼量,但要使用這種方法,需要對(duì)NiosII處理器的外設(shè)和驅(qū)動(dòng)編寫都非常熟悉才行。在NiosII IDE中也可以通過(guò)某些選項(xiàng)來(lái)減小HAL系統(tǒng)庫(kù)容量,從而達(dá)到減小代碼量的目的,比使用獨(dú)立式編程環(huán)境容易得多。

 

2 減小代碼量的方法

 

2.1  打開編譯器優(yōu)化選項(xiàng)

 

nios2-elf-gcc編譯器中使用“-O3”選項(xiàng),代碼可以被最大限度地優(yōu)化,包括代碼的大小和執(zhí)行速度。需要注意的是,編譯器優(yōu)化可能會(huì)帶來(lái)一些意想不到的結(jié)果。另外,必須在用戶工程和系統(tǒng)庫(kù)中都使用-O3選項(xiàng),如圖1所示。

 

 

1  使用-O3選項(xiàng)

 

2.2  使用小封裝的驅(qū)動(dòng)庫(kù)

 

HAL為處理器的外設(shè)提供了兩種驅(qū)動(dòng)庫(kù): 一種是執(zhí)行速度快,但代碼量大的版本;另一種是小封裝版本。默認(rèn)情況下,HAL系統(tǒng)使用是代碼量大的版本??梢赃x擇Reduced device drivers選項(xiàng)來(lái)選擇小封裝版本,從而減小代碼量,如圖2所示。

 

 

2  選擇小封裝版本

 

2.3  使用新的C語(yǔ)言庫(kù)

 

完整的ANSI C標(biāo)準(zhǔn)庫(kù)通常不適用于嵌入式系統(tǒng),HAL提供了一系列經(jīng)過(guò)裁減的新的ANSI C標(biāo)準(zhǔn)庫(kù),占用非常小的代碼量??梢赃x擇Small C library選項(xiàng)來(lái)選擇新的ANSI C標(biāo)準(zhǔn)庫(kù),如圖3所示。

 

 

3  選擇新的ANSI C標(biāo)準(zhǔn)庫(kù)

 

2.4  去掉不使用的驅(qū)動(dòng)庫(kù)

 

當(dāng)NiosII系統(tǒng)中有外設(shè)時(shí),NiosII IDE認(rèn)為這些設(shè)備需要驅(qū)動(dòng),因此在HAL系統(tǒng)中加入了相應(yīng)的驅(qū)動(dòng)庫(kù)。如果在用戶的程序中并不需要使用到這些外設(shè),也可以在初始化時(shí)不加載這些驅(qū)動(dòng)庫(kù)。

 

當(dāng)用戶的程序并沒有使用到NiosII系統(tǒng)中某些設(shè)備(如SPI通信接口)時(shí),應(yīng)在系統(tǒng)中將這些設(shè)備完全移除。這樣,既可以減小軟件代碼量,又可以減少占用的FPGA資源。最常見的一個(gè)例子就是系統(tǒng)中的Flash存儲(chǔ)芯片。在用戶程序中通常不會(huì)對(duì)Flash芯片進(jìn)行寫操作,因此不需要加載Flash驅(qū)動(dòng)庫(kù),可以在工程屬性的preprocessor選項(xiàng)中加入“DALT_NO_CFI_FLASH”,使得HAL系統(tǒng)不將Flash芯片驅(qū)動(dòng)加入系統(tǒng)庫(kù)中。

 

2.5  使用_exit()函數(shù)

 

在默認(rèn)情況下,HAL系統(tǒng)會(huì)調(diào)用exit()函數(shù)作為用戶程序的結(jié)束。exit()函數(shù)主要完成兩部分工作: 清除所有C語(yǔ)言庫(kù)中的I/O緩存;調(diào)用在atexit()函數(shù)中的所有函數(shù)。實(shí)際上,相當(dāng)于main()函數(shù)中return語(yǔ)句在執(zhí)行之前必須要完成的工作。

 

而在嵌入式系統(tǒng)中用戶程序是不會(huì)退出main()函數(shù)的,所以exit()這段代碼是多余的,可以去掉。在用戶程序中可以用_exit()來(lái)代替exit(),_exit()程序不執(zhí)行任何操作且無(wú)需對(duì)用戶程序做改動(dòng),只需在工程屬性的preprocessor選項(xiàng)中加入“Dexit=_exit”。

 

3  總結(jié)

 

通過(guò)上述方法,對(duì)一個(gè)簡(jiǎn)單的helloworld程序進(jìn)行代碼量?jī)?yōu)化。程序如下:

 

#include<stdio.h>

int main() {

printf("Hello from NiosII!\n");

return 0;

}

在未進(jìn)行任何優(yōu)化之前,編譯完成后代碼量為68 KB;在經(jīng)過(guò)上述優(yōu)化方法編譯之后,代碼量變?yōu)?/SPAN>7 044字節(jié)。由此可見,上述優(yōu)化方法十分有效,代碼量減小為原來(lái)的1/10,在NiosII嵌入式系統(tǒng)中有重要的實(shí)用價(jià)值。

 

(轉(zhuǎn)載)

標(biāo)簽:NiosII處理器軟件 代碼優(yōu)化方法 我要反饋 
2024世界人工智能大會(huì)專題
即刻點(diǎn)擊并下載ABB資料,好禮贏不停~
優(yōu)傲機(jī)器人下載中心
西克
2024全景工博會(huì)
專題報(bào)道